0


【FPGA】Vivado 保姆级安装教程 | 从官网下载安装包开始到安装完毕 | 每步都有详细截图说明 | 支持无脑跟装

Vivado 介绍

Vivado 是 FPGA 厂商赛灵思公司(XILINX)于 2012 年发布的集成设计环境。

其包括高度集成的设计环境和新一代从系统到 IC 级的工具,这些均建立在共享的可扩展数据模型和通用调试环境基础上。这也是一个基于 AMBA AXI4 互联规范、IP-XACT IP 封装元数据、工具命令语言(TCL)、Synopsys 系统约束(SDC) 以及其它有助于根据客户需求量身定制设计流程并符合业界标准的开放式环境。赛灵思构建的 Vivado 工具把各类可编程技术结合在一起,能够扩展多达1 亿个等效 ASIC 门的设计。

该软件利用大型的仿真技术,利用计算机的超级算法,为用户提供了大型流程优化方案以及加工技术的改进,利用电脑虚拟技术,可以从基础的加工到生产的流程实现一体化的操作方案,内置逻辑仿真器、独立的编程控制器,让您的设计速度提高四倍以上,从而减少产品的上市时间。

Vivado 设计套件有着开箱即用特性,即下载安装后就可以直接使用,下面我们就将带着大家一步步下载并安装这款软件。

Step1:进入官网

① 我们可以选择在 XILINX 官网下载其公司旗下的产品Vivado

🔍 官网地址:

👉 点击直达:

  • Xilinx - Adaptable. Intelligent | together we advance_ (英文)
  • Xilinx -灵活应变.Intelligent | 同超越,共成就_ (官方中文网站)

② 进入官网

"Xilinx is now part of AMD"

我们看到了醒目的 AMD 字样……是的,你没有看错,XILINX 被 AMD 收购了 ~

如果你对该事件比较感兴趣,不妨看看: https://www.amd.com/en/corporate/xilinx-acquisition

下面是苏妈的收购感言:

所以我们要登录网站,就需要注册 AMD 账号。(如果你已经有账号了请自行跳过 Step2)

Step2:注册账号

① 进入页面:首先点击网页右上角 "人物" 按钮,随后点击** "Login | Register" **:

(登录页面和注册页面是放一起的)

② 点击创建密码:随后会转到登陆界面,直接点击 "创建密码" 进入注册页面

** ③ 账户创建:**填写姓名以及邮箱,这里填的邮箱是用来接收验证信息的,所以一定要填一个能用的邮箱。然后选择语言首选项和位置,上面的信息其实除了邮箱都可以随便填。最后进行完谷人机身份验证后,点击 Submit 提交即可。

④ 激活账户:访问令牌在你的邮箱中,如果你没有收到邮件,可以点击黑色按钮 "重新发送电子邮件"。 设置密码这块比较烦,长度必须包含10且需要包含 1个大写字母,1个小写字母,1个数字,1个特殊字符。这里不得不吐槽一下,是真的很麻烦。

⑤ 登陆账号:激活账户后,会跳转到登录页面。此时输入刚才的邮箱和密码即可登陆。

登陆后跳转显示如下页面则说明登陆成功:

Step3:进入下载页面

如果你不想一个个点击去找下载页面在哪,可以直接点击下面的链接:

🔗 下载页面:https://www.xilinx.com/support/download.html

① 点击 Company:

​​​​​​

② 点击 Support:

③ 点击 Doneloads & Licensing:

点击之后就进入到了下载页面:

Step4:下载安装包

① 选择下载版本:这里我们选择 2017.3 版本

② 下拉选择你系统对应的安装包:

③ 认证个人信息:下载之前还需要再次填写个人信息,简单地填一下,然后点击 Download 按钮就可以下载了。

④ 等待安装包下载完毕即可:

Step5:安装

① 打开安装包:下完完毕后我们打开安装包进行安装:

如果弹出 Windows 安全中心警报,点击** 允许访问** 即可:

**② **此时可能会弹出让你下新版本的信息框,我们这里选择 Continue 即可:

** ③ **此时就看到了安装向导,Welcome 欢迎界面,点击 **Next > **

④ 再次输入账号密码:

⑤ 勾选同意:这三个条款全部勾选后才能选择 Next>

⑥ 选择版本:这里选择你需要的版本即可

平常用的少,也不是专攻硬件的,所以听从建议,选 WebPACK。

多数人这里会选择 HL design Edition.

如果充裕的磁盘空间,建议全部勾选。

⑦ 选择安装路径:

默认是安装在 C盘的,可以选择其他盘。

这里按 Yes,即可创建文件目录。

⑧ 点击 Install 安装:

Step6:等待软件安装完成

这可能会是一个漫长的等待,长到令人窒息,就像是安装核弹发射系统一样。

在这期间你可以选择看看它滚动的宣传图,当然也没有什么好看的也就那几张,看腻了就最小化让它自己装就行了。

在这期间可能会弹出 Windows 安全中心:信任安装即可。

安装完成

安装完成后会弹出信息框,提示你软件已经成功安装:

安装完成后桌面上会生成 Vivado 的快捷方式,双击打开即可:

至此,Vivado 已安装完毕。

End.


标签: fpga开发 Vivado

本文转载自: https://blog.csdn.net/weixin_50502862/article/details/126856879
版权归原作者 柠檬叶子C 所有, 如有侵权,请联系我们删除。

“【FPGA】Vivado 保姆级安装教程 | 从官网下载安装包开始到安装完毕 | 每步都有详细截图说明 | 支持无脑跟装”的评论:

还没有评论