0


vivado与vscode完美结合/vivado联合vscode/vivado关联vscode

vivado虽然非常优秀,但是也有一个缺点就是vivado的编辑器很难用

1、没有高亮(不完整的)

2、不能自动补全

3、背景白色很伤眼

4、没有自动跳转到定义功能

5、没有括号定位功能

这篇文章,我将把这几个全部解决,同时也不是完全使用vscode编写verilog工程,因为ip核的部分必须用vivado才方便,所以我推荐编写代码用vscode,其余功能还在vivado实现,这样就需要把vivado的代码文件用vscode打开,同时跟我在vscode中进行一些设置,让vscode成为vivado的专属编辑软件,提升编写代码效率。


废话不多说直接上干货!!!!

1、下载安装vscode(安装过的可以忽略)

下载地址:vscode官方下载地址

感觉官方下载速度慢的,也给大家准备好了安装包 :vscode安装包

安装教程:傻瓜式安装,一路点下一步即可安装成功。

2、插件安装

(1)中文语言包 Language Pack for Visual Studio Code

(2)代码高亮 Code formatter

(3)verilog 支持包 Verilog-HDL/SystemVerilog/Bluespec SystemVerilog

其余还有很多插件,我认为安装这三个足够了,其余用到的时候再安装,这三个已经完全够用!

3、实现自动纠错

在其他编辑器,编写verilog最大的问题就是不能自动纠错,下面介绍一个最简单的方法

(1)提前你的PC需要安装vivado,并把下面的路径复制(我的为例)

D:\Xilinx\Vivado\2018.3\bin

(2)并把这个地址添加到系统环境变量的Path中:

(3)在PC的cmd窗口输入:xvlog --version 查看是否生效,如果没有打印出未找到该命令,那么你可能需要重启您的电脑。

(4)接下来我们在vscode设置里,搜索Linter,将verilog的Linter更换成xvlog。

设置完成之后,就能实现语法的纠错,在平常的工程编写中非常舒服。

4、自动跳转到定义变量的位置

在编写verilog有一个跟头疼的问题,就是不能像C那样直接跳转到定义变量的位置,有时候定义的变量多了,就不知道位宽和这个变量的意义了,还有从头去找,很是麻烦,下面介绍一种非常简单的就能跳转到定义位置的方法。

(1)下载插件ctags.exe

文件下载地址:ctags.exe下载

并把他复制到vivado安装目录下:

(2)复制ctags.exe文件位置

D:\Xilinx\ctags-p6.1.20240114.0-x64

(3)把ctags.exe地址添加到系统环境变量Path中

(4)打开vscode搜索ctags,并把地址添进去

D:\Xilinx\ctags-p6.1.20240114.0-x64||ctags.exe

(5)重新打开vscode即可实现变量快速跳转

5、括号自动匹配

(1)打开设置搜索,然后把这两个都勾选上即可

@id:editor.bracketPairColorization.enabled @id:editor.guides.bracketPairs

(2)重新打开vscode即可实现括号自动匹配(也包括begin end)

6、代码里面中文乱码

换一个编辑器打开代码,里面的中文注释全部变成不认识的符号,这种问题大家是不是经常遇到,今天教大家一次性解决这个的问题

vivado中代码形式默认为GB2312模式,所以我们只需要把vscode中默认代码形式改为GB2312即可

打开vscode设置界面,搜索 encoding,把这个改为GB2312即可

这样代码里的注释,都能显示出来了

这样一个只属于vivado的vscode已经设置完成了,就可以很轻松愉快的在vscode和vivado中间穿梭,非常的丝滑,后续如果有更好的插件或者设置,还是会在这个文章上面继续更新!!

如果感觉文章对您有用,麻烦三连支持一下,方便下次用到的时候,就可以快速找到我,非常感谢您的支持!!!

标签: vscode ide 编辑器

本文转载自: https://blog.csdn.net/w18864443115/article/details/135604467
版权归原作者 FPGAmaster创新者 所有, 如有侵权,请联系我们删除。

“vivado与vscode完美结合/vivado联合vscode/vivado关联vscode”的评论:

还没有评论